Whole Tomato Software Forums
Whole Tomato Software Forums
Main Site | Profile | Register | Active Topics | Members | Search | FAQ
User name:
Password:
Save Password
Forgot your password?

 All Forums
 Visual Assist
 Technical Support
 VA X 1215: function comments
 New Topic  Topic Locked
 Printer Friendly
Author Previous Topic Topic Next Topic  

Uniwares
Tomato Guru

Portugal
2321 Posts

Posted - Feb 03 2004 :  11:24:56 AM  Show Profile
The following code fragment will produce a false comment for the function SomeFunction():
#ifdef _DEBUG
  void DebugInfo();
  ... some more defines
#endif // _DEBUG

int SomeFunction(long);


VA shows now "// _DEBUG" as comment for the last function.

Uniwares
Tomato Guru

Portugal
2321 Posts

Posted - Feb 04 2004 :  10:42:14 AM  Show Profile
Another case where the wrong object gets a comment attached:
	bool Somefunction();	// some comment
	
	enum SOME_ENUM
	{ ... };


Now Somefunction and SOME_ENUM have the same comment.
Go to Top of Page
  Previous Topic Topic Next Topic  
 New Topic  Topic Locked
 Printer Friendly
Jump To:
© 2023 Whole Tomato Software, LLC Go To Top Of Page
Snitz Forums 2000